-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathALU.vhd
111 lines (95 loc) · 2.59 KB
/
ALU.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:34:54 11/19/2017
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.def.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ALU is
Port ( op : in STD_LOGIC_VECTOR (3 downto 0);
operand1 : in STD_LOGIC_VECTOR (15 downto 0);
operand2 : in STD_LOGIC_VECTOR (15 downto 0);
aluout : out STD_LOGIC_VECTOR (15 downto 0)
);
end ALU;
architecture Behavioral of ALU is
signal result : STD_LOGIC_VECTOR(15 downto 0);
begin
-- alu, combinational logic
process (operand1, operand2, op)
begin
case op is
when OP_ADD =>
result <= operand1 + operand2;
when OP_AND =>
result <= operand1 and operand2;
when OP_CMP =>
if operand1 = operand2 then
result <= x"0000";
else
result <= x"0001";
end if;
when OP_OR =>
result <= operand1 or operand2;
--fsygd: immediate = 0 ?
when OP_SLL =>
if operand2 = x"0000" then
result <= to_stdlogicvector(to_bitvector(operand1) sll 8);
else
result <= to_stdlogicvector(to_bitvector(operand1) sll conv_integer(operand2(2 downto 0)));
end if;
when OP_SLT =>
if signed(operand1) >= signed(operand2) then
result <= x"0000";
else
result <= x"0001";
end if;
when OP_SLTU =>
if operand1 >= operand2 then
result <= x"0000";
else
result <= x"0001";
end if;
--fsygd: immediate = 0 ?
when OP_SRA =>
if operand2 = x"0000" then
result <= to_stdlogicvector(to_bitvector(operand1) sra 8);
else
result <= to_stdlogicvector(to_bitvector(operand1) sra conv_integer(operand2(2 downto 0)));
end if;
when OP_SUB =>
result <= operand1 - operand2;
when OP_PASS_A =>
result <= operand1;
when OP_PASS_B =>
result <= operand2;
when OTHERS =>
result <= x"0000";
end case;
end process;
aluout <= result;
end Behavioral;